EMPC23

The 24th European Microelectronics  & Packaging Conference
11-14 September 2023 –
Wellcome Genome Campus, Hinxton
(near Cambridge), UK

EMPC 2023 – Exhibition

EMPC 2023 – Exhibition Stands

The Exhibition Package will include options for both Shell Scheme and Table Top exhibitor spaces.

As an exhibitor, you will have the unique opportunity to market your products and services to a captive audience of buyers, designers, and influential researchers. Our opening hours are arranged to give exhibitors maximum exposure, and social events are planned in the exhibition space to encourage all delegates to meet and mingle formally and informally.

 

Silver Exhibitors Bronze Exhibitors Table Top Package
  • Logo in conference programme
  • 1 full conference ticket
  • 2m x 1m table top
₤ 3,850 (exc VAT)
₤ 2,750 (exc VAT)
SOLD OUT!

This price covers the main exhibition stand space and structure. Optional extras can be ordered through the shell scheme provider.

EMPC 2023 – Additional Extra Order Form – SILVER
EMPC 2023 – Additional Extra Order Form – BRONZE

Please contact us at office@empc2023.org if you are interested in booking an exhibitor slot.

Platinum Sponsor:

Accuracy Meets Productivity!

Besi is engaged in one line of business, the development, manufacturing, marketing, sales and service of semiconductor assembly equipment for the global semiconductor and electronics industries.

Besi supplies leading edge systems offering increased productivity, improved yields of defect-free devices and a low cost of ownership. Besi offers customers a broad portfolio of systems which address substantially all the assembly process steps involved in leadframe, substrate and wafer level packaging.

Besi’s principal product offerings include:

  • Die Attach Equipment: Single chip, multi chip, multi module and flip chip die bonding systems and die sorting systems.
  • Packaging Equipment: Molding, trim & form and singulation systems.
  • Plating Equipment: Tin, copper and precious metal plating systems.
  • Services: Besi provides tooling, conversion kits and spare parts to its installed base of customers worldwide.​

https://www.besi.com/

Gold Sponsors:

Accelonix is a group of companies operating across Western Europe serving the electronics industry with specialist sales and support, providing a key interface between customers and suppliers. Experts in the field of Microelectronics and Electronics manufacturing, we offer a broad range of complementary products ranging from bare die handling through to plasma treatment, micro dispensing, wire bonding, package sealing and more. We have access to leading suppliers from around the world, allowing us to bring you examples of the best assembly equipment on today’s global market. Our experienced local service team is dedicated to the maintenance and calibration of all the equipment we supply. Whatever the complexity, size and quantity of your products, we can offer the best solution.

https://accelonix.co.uk/

Inseto is a leading supplier of equipment and related materials to the microelectronic and advanced technology sectors throughout the UK, Ireland & Northern European regions. 

Our advanced equipment and materials are available from specialist technology divisions, comprising equipment, materials, wafers and adhesives. 

Inseto’s Equipment Division provides solutions for device fabrication, assembly and test, our Materials Division supplies assembly materials, subcontract services and tooling, our Wafers Division provides substrates for research and production and our Adhesives Division has the technical expertise to advise on all your bonding, sealing and encapsulation requirements. 

https://www.inseto.co.uk/

PacTech is a technology-focused company specialized in advanced packaging equipment manufacturing and wafer level packaging services. We are known to be highly adaptive to customization and unique applications. Our team of technical experts is striving to resolve various packaging challenges faced by the industry to provide our customers and partners more competitive solutions in terms of cost, time-to-market, and technology advancement. Our headquarter is located in Nauen, Germany with two operation and manufacturing sites in Santa Clara, CA, USA and Penang, Malaysia.

https://pactech.com/

Silver Exhibitors:

ASE, Inc. is the leading global provider of semiconductor manufacturing services in assembly and test. Today, ASE is delivering on the promise of heterogeneous integration through advanced packaging, system-in-package, and chiplet solutions to meet growth momentum across AI, Automotive, HPC, IoT, 5G, and more. To learn about our technology advances and our VIPack™ platform, designed to enable vertically integrated package solutions, please visit aseglobal.com or follow us on LinkedIn: @aseglobal.

https://www.aseglobal.com/ 

CAPLINQ is a global specialty chemical, adhesives, and plastics company dedicated to providing innovative solutions to industries worldwide.
With expertise in sourcing, manufacturing, and distribution, we offer a diverse range of high-quality products, including die attach adhesives, thermal interface materials, encapsulants, molding compounds, coating powders, carbon papers, and more.

We collaborate closely with our customers, leveraging our extensive network and knowledge to deliver tailored solutions that meet your specific needs. We are proud official Global distributors of, and not limited to, HENKEL, Honeywell, Hysol, DMI, JNC and Ionomr. 

caplinq.com

Cupio supplies innovative inspection, measurement and test solutions to electronics manufacturers throughout the UK. Our specialist equipment suppliers are acknowledged leaders in their field. Our onsite integration, training and support services ensure that their systems rapidly become productive within our customers’ manufacturing facilities.

https://www.cupio.co.uk/ 

Compound Semiconductor Applications (CSA) Catapult is focused on bringing compound semiconductor applications to life in three key areas: Net Zero, Future Telecoms and Intelligent Sensing.

CSA Catapult is a Not for Profit organisation headquartered in South Wales. It is focused on four technology areas: Power Electronics, RF & Microwave, Photonics and Advanced Packaging.

CSA Catapult exists to help the UK compound semiconductor industry grow and collaborates across the UK and internationally. 

https://csa.catapult.org.uk/ 

DELO is a leading manufacturer of high-tech adhesives and other multifunctional materials as well as corresponding dispensing and curing technology. The company’s products are mainly used in the automotive, consumer electronics and semiconductor industries. They can be found in almost every mobile phone and every second car worldwide, for example in cameras, loudspeakers, electric motors, or sensors.

https://www.delo-adhesives.com

Eurofins MASER is an independent engineering service company. Since 1993 we have offered Reliability Test and Failure Analysis Services to the semiconductor and electronic systems industry. We offer a wide range of qualification procedures (AEC Q100/JEDEC/MIL/IEC) to qualify your products according to the latest international standards or to your specific requirements. Our state-of-the-art Failure Analysis laboratory will fulfill all F/A needs of our customers (Non-destructive analysis/construction analysis/FIB-CE/IPC inspection/Advanced Failure Analysis).

https://www.maserengineering.eu/

DISCO is a leading solution provider for advanced Dicing (Kiru), Grinding (Kezuru) and Polishing (Migaku) technologies. We develop and manufacture precision dicing, grinding and polishing machines as well as dicing blades and grinding/polishing wheels. Ablation laser and stealth laser dicing saws, plasma processing and tape mounting equipment are also available from us. We can provide you with the total process solutions based on our wide range of application experiences.

https://www.disco.co.jp/eg/index.html

Koh Young Technology Inc., a leading 3D measurement-based inspection equipment and solutions provider, performs an essential role for quality control and process optimization in the production fields of diversified industries including automotive, telecommunications, and semiconductors. The company has released the MEISTER product family, a portfolio of True 3DTM Inspection Solutions for solder paste, printed bumps, and solder ball as well as small components like 0201Ms and highly reflective die.

https://kohyoung.com

Kulicke & Soffa (NASDAQ: KLIC) is a leading provider of semiconductor, LED and electronic assembly solutions serving the global automotive, consumer, communications, computing and industrial markets. Founded in 1951, K&S prides itself on establishing foundations for technological advancement – creating pioneering interconnect solutions that enable performance improvements, power efficiency, form-factor reductions and assembly excellence of current and next-generation semiconductor devices.

https://www.kns.com/

MCS specialise in solving problems relating to electronics manufacturing, reliability and new product or process development. Our experienced team of expert investigators lead you through the process, defining the investigative plan, carrying out the physical analysis and delivering clear and conclusive results.

We have developed a suite of novel investigative techniques that enable us to access the diagnostic information contained within the materials used in the production of electronics. Using this key evidence, we identify appropriate solutions and support you to make informed changes to product design, material selection, or manufacturing processes. As an independent company, we deliver impartial support across the electronics supply chain enabling you to move forward quickly with a first-time solution.

https://www.themcsgroup.co.uk/ 

SET is a world leading supplier of high accuracy Flip-Chip Bonders.

 Since 1975, we have been designing and manufacturing semiconductor equipment dedicated to high precision applications.

We accompany laboratories and industries, which look for a high precision and an important reliability in the assembly of their components.

With Flip-Chip Bonders installed worldwide, SET is globally renowned for the unsurpassed sub-micron accuracy and the flexibility of its equipment.

https://www.set-sas.fr

 

SERMA GROUP has more than 35 years of expertise in microelectronics:

  • Full turnkey Mixed-signal ASICs and SoC:
    SERMA takes control over the complete ASIC development and supply chain for high reliability markets : Defense, Space, Healthcare, Industry, Automotive, Railway, Oil, Mil-Aero, etc.
  • Assembly of microelectronic chips:
    Thanks to its 1000 sqm clean room, SERMA develops and provides special processes to manufacture thick film ceramics substrates and integrate semiconductors.
  • Testing:
    SERMA conducts more than 8000 analyses per year in its (7000 m²) laboratories:
    • Electrical systems testing lab
    • Physics and electrochemistry analysis labs
    • Surface analysis  lab

These labs activities can be triggered in stand-alone or embedded in an Electronic Technologies Management ETM® consulting packaged offer.

Know more on: https://www.serma.com/en/jobs/microelectronics/ 

Surfx Technologies offers atmospheric argon plasmas for high-volume manufacturing. Our plasma machines are an excellent choice for in-line surface cleaning, activation, and adhesion improvement. Surfx argon plasmas will not damage electrically sensitive integrated circuits or thermally sensitive polymers. The highly uniform beam of reactive gas flowing out of the plasma head is 100% electrically neutral with no streamers, no sparks, no electrostatic discharge (ESD), and no particles.

https://www.surfxtechnologies.com/ 

ZEISS provides the most comprehensive microscopy portfolio in the electronics and semiconductor industries, with superior imaging and optimized workflows to meet productivity needs for R&D, production, and failure analysis.

https://www.zeiss.com/microscopy/en/applications/semiconductors-electronics.html

Bronze Exhibitors:

For over 40 years, Allied High Tech Products has provided sample preparation products for failure analysis to the microelectronics industry. Allied manufactures cutting-edge equipment with all design, manufacturing and assembly taking place in-house to ensure the highest quality equipment is produced. Allied’s state-of-the-art tools include the X-Prep® Precision Polishing/Grinding/Milling Machine and the MultiPrep™ Polishing System. Please stop by Stand #5 for more in-depth information.

https://www.alliedhightech.com/ 

AMADYNE founded in 2000 is located in BĂĽhl near Baden Baden from where the company offers direct support to the German speaking countries. In other countries AMADYNE is represented by selected dealers. AMADYNE offers compact , flexible solutions for the automation of microelectronic assembly manufacturing. Our systems provide for the precise production of sophisticated and complex components for micro systems engineering, micro opto-electronics and micro mechanical assemblies.

https://web.amadyne.net/ 

CSconnected is the collective brand for a growing number of advanced semiconductor related activities in Wales, home to a unique community of academic institutions, prototyping facilities and global, high-volume manufacturing capabilities that collaborate across a range of research and innovation programs. CSconnected is uniquely positioned to develop a global advantage in a sovereign, key enabling technology which will allow Wales and the UK to increase trade globally in critical sectors such as 5G communications, autonomous and electric vehicles, advanced medical devices, and consumer electronics of the future.

https://csconnected.com/

Dycotec Materials Ltd specialises in the development and manufacture of advanced materials for markets such as the microelectronics and packaging industry.  Our products include: nanosilver and nanocopper die attach materials, thermal interface materials, underfills, thick film high temperature fired pastes, polyimide systems, conductive thermoset adhesives and dielectric materials. We have an extensive R&D applications lab in the UK which allows rapid customer product development using our materials.

www.dycotecmaterials.com

Process consulting, turnkey equipment supply and application development services. Installation, warranty support and operators training, yield improvement programs. Experts in thick film hybrids, including multilayers and fine line, and advanced packaging solutions for power and multi-chip modules, MEMS and discretes.

Honeystone Ltd.
Ground Floor, 123 Pall Mall, SW1Y 5EA London, UK
https://honeystone-tec.co.uk/
welcome@honeystone-tec.co.uk 

 

The Fraunhofer Institute for Reliability and Microintegration IZM helps companies around the world develop and assemble robust and reliable electronics to the very cutting edge and then integrate them into the required application. To this end Fraunhofer IZM develops adapted system integration technologies on wafer-, chip- and board level. Our research continues to improve reliability and helps customers confidently predict a product’s lifetime.

https://www.izm.fraunhofer.de/ 

SAES is the pioneer and  world leader in getter technologies for information display and lamp industries, UHV systems and vacuum thermal insulation, in technologies spanning from large vacuum power tubes to miniaturized microelectronic and micromechanical devices.

Recently, SAES introduced a new platform of getter lids for hermetic and semi-hermetic device packaging, to sorb H2O, H2 and VOCs, for many optoelectronics, microelectronics, photonics packages, to ensure reliability and long-term stability.

https://www.saesgetters.com/ 

Smart High Tech is a company focusing on producing new heat-dissipating materials reinforced with graphene with a focus on cooling electronics, processors, graphics cards, LEDs, and other heat-sensitive and heat-intensive products. Our main product is our graphene-enhanced Thermal Interface Material which conducts heat efficiently both vertically and horizontally.

https://smarthightech.com/ 

Toray strives in creating innovative materials with high functionalities. “Polymer Chemistry,” “Organic Synthetic Chemistry,” and “Nanotechnology” are the core technologies implemented by Toray to design various original manufacturing goods. Developed by combining our proprietary design technologies in polyimide heat-resistant resin and photosensitive control, we have a lineup of polyimide coating and sheet materials. Over the years, our products have been used for many semiconductors and electronic components.

https://www.toray.eu/eu/

Table Top Exhibitors:

Alter Technology is an outsourced semiconductor assembly and test provider (OSAT) for microelectronic and photonics devices from prototype to production.  Our state of the art, automated assembly equipment can support millions of dies per year and includes a plastic encapsulation capability for QFN packages.

https://www.altertechnology-group.com/ 

Gen3 designs, engineers, manufactures, and distributes test and measurement equipment into the electronics industry to shield circuits from failure in the field.

https://www.gen3systems.com/content/gen3-precision-standard

 

Megatech Limited is the largest independent supplier of equipment and services to the semiconductor and thin film industries in the UK. Established in 1973, the company has built a well-founded reputation for the supply of quality products, in-depth technical expertise, and excellent customer service.

https://www.megatech.com/ 

The tagline of MatchID is “Metrology beyond colors”, offering the DIC system of the future where the focus lies on the metrological aspects of the system, enabling quantitative results interpretation with integrated error assessment, material identification, model validation and modal analysis.

https://www.matchid.eu/ 

ROARTIS IQ-BOND supplies electronic adhesives for demanding, high reliability applications! Our adhesives have been used for many years in high reliability markets as aviation, medical, aerospace, defense, energy, automotive & industrial electronics.

https://www.iq-bond.com/ 

Optim Wafer Services offer, high quality bespoke wafer & substrate processing services  such as thin & polish, Grinding, Taiko, Polishing, CMP, Edge trimming, Chamfering, Rounding,  Re-sizing, Dicing, DBG & Cleaning of wafers substrates and materials of various sizes and shapes.

https://www.optimwaferservices.com/

Since 2000 UniTemp is working in the development and manufacturing of equipment for semiconductor and microelectronics industry. Exhibits include our new reflow solder system with integrated formic acid module and high precision industrial hot plate.

https://unitemp.de/

VIA electronic GmbH offers customized LTCC multilayer ceramic circuit boards for sensor applications. Our exhibition at EMPC23 portrays integration options for RF elements, and patch antennas in novel LTCC packages, for military and aerospace market.

www.via-electronic.de 

get your Ticket now